Portable VDHL Simulation Enviroment

For simulation of VHDL Code the FPGA ventors Xilinx and Altera provide free “Web Editions” of there products. The packets are very big and complex. GHDL is the only simple and open source VHDL simulator.

GHDL is a command line tool for simulation and generate a VCD (value change dump) file as result. gtkWave allow a visualization of the VCD file.

I set up a simple IDE based on Notepad++ for our students. The IDE allow VHDL code development and simulation without knowledge of GHDL or gtkWave.

VHDL_IDE

The usage is simple. Open Notepad++Portable.exe in Notepad++Portable Folder. Select a project. Open a vhdl File an press F6 for simulation.

New projects and files can be added by pressing right mouse button on “Workspace” treeview element. Important is to copy “run.bat” to the new project.

A easier way is to copy and rename the folder of an existing project and running “setProjectFile.bat” in Notepad++Portable Folder to update the workspace file. Restart Notepad++ or “Reload Workspace” to update the Notepad++ view.

Download a the simulation system with two sample projects: VHDL_Simulation1